CV, Curriculum Vitae and Online Resumes Search

Recruiters - Try Postings!

Postings.com™ is a must-have for recruiters who want to:

  • Find Qualified Candidates
  • Find Job orders and Post Splits
  • Be Found in Search Engines
  • Implement a Social Sourcing Strategy
30-Day Free Trial!



Job Seekers - Look Here!

Hitting a wall with your job search? Try Climber Premium.

  • Top the Search Engines
  • Unsurpassed Candidate Marketing
  • Power Career Networking
  • Fresh Jobs from the Net

Were you looking for Wet-Etch job results?
Click Here to search for Wet-Etch in our 2.4M jobs.



Online Resumes with "Wet Etch"



Production engineer manager

Enthusiastic, Creative senior member of technical staff Engineer in semiconductor manufacturing process and quality with particular expertise in Etch including 2 process patents for removing particles. Comfortable with multiple site projects, as well as, training and managing staff in sustaining activities. Excellent communication skills with subordinates, peers, management, and customers

Ideal Companies: Samsung, Freescale any solar company.

Tags for this Online Resume: manager, plasma etch, wet etch, process engineering, Chemical mechanical polishing, defect reduction, cycle time improvement, Lean management team

Process Engineer

Ferris A. Modawar 346 Fawnridge 512-259-5780 Georgetown, Texas 78628 OBJECTIVE Seeking a technical position at ADVANCED INTEGRATION TECHNOLOGIES. I have 24 years experience in solar nano-fabrication, semiconductor research, amorphous silicon wires for lithium battery applications, silicon nanowires, semiconductor manufacturing specifically photo, metrology, and yield enhancement. I am confident that I will be successful...

Featured Profile

Entry Semiconductor Engineer, Process Engineering exp.; Product Development Eng. exp.; Markdeting Exp.

Reenter Mfg. Engineering; I like troubleshooting, I am at my best when nobody else can figure out what to do next: I never quit, I never run out of questions. There is always a way to pull some pattern out of chaos, to validate and verify a trend to get a process back under control with the equipment at hand.

Ideal Companies: Intel, AMD, Micron, Hynix

Tags for this Online Resume: Process Engineer, Product Engineer, Higher Education, Patents, semiconductor, semiconductor engineer, Failure Analysis, benchmarking, Experimental design, Validation, Verification

Featured Profile

Featured Profile

Manufacturing Engineer Technician

Deadline-driven Manufacturing Engineer Technician with 7+ years experience in a fast-paced environment. Great attention to detail and organizational skills. Reliable worker with strong mechanical aptitude and a willingness to learn, as well as computer literate; familiar with numerous programs. Experienced, prompt, dedicated, and energetic technician with proven ability to work independently and maintain high levels of p...

Tags for this Online Resume: Manufacturing, Semiconductor, MEMS, Wafer, Electrical Engineering, Technician

Engineering Technician - 20 Years of Experience - Near 97030

Eldon L Flaig Jr. Cell Ph.: (971) 207-1550 144 SE 205th Place Gresham, Oregon 97030 Skills and Qualifications • Seventeen years of experience directly related to the manufacturing of Semiconductors and FAB start-up. • Excellent mechanical and analytical skills; highly motivated and dependable. • Proven leadership, organizational and problem solving abilities. • Exceptional interpersonal skills; self-starting and team ...

Tags for this Online Resume: Semiconductor, Wafer, FAB, Equipment, Maintenance, Gresham, Oregon

Process Engineer - 20 Years of Experience - Near 97225

CAREER SUMMARY: Auring and R&D environments.

Ideal Companies: 1964, 1964

Tags for this Online Resume: Portland, semiconductor, SPC, Oregon, Engineering, Process Engineering, Semiconductor Industry, Etching, Fabrication, Integrate, Lasers, Photolithography, manufacturing, FMEA, 8D

Not Listed - 20 Years of Experience - Near 95118

SUMMARY OF QUALIFICATIONS Over 20 years of experience in after-sales support activities including over 14 years of progressive and results-oriented management in both Semiconductor Dry Plasma Etch Capitol Equipment and Layer 3 Gigabit Ethernet Switch/Routers industries. Accomplished in setting and implementing strategic direction and guiding departmental tactical activities. An innovative leader that can discover and implem...

Tags for this Online Resume: Inventory, Logistics, Manufacturing, Accounting, Applications, Engineering, Enterprise Software, Infrastructure, Internal Auditor, ISO

Engineer

AREAS OF EXPERTISE FAILURE ANALYSIS: * Demonstrated competence in failure diagnostics, defect isolation, and Physical Failure Analysis, including technical competence in: * Diagnostic Tools: Mentor FASTSCAN, Logicvision ETA, Cadence Encounter, Virtuoso, SignalScan, Novas Debussy. * DC mechanical probing, AC probing with LVP and Emiscope. * Bench electrical testing with testing instruments (Curve Tracer, Parametric Analyzer,...

Tags for this Online Resume: Competitive Analysis, Discrete Devices, Fab, Reliability, Risk Assessment, Strobe, Test, Wafer, A SERIES, Cadence

Materials Inspector

QUALIFICATIONS: * Stockroom QA - Reviewed current Sales Orders for promised deliveries to customers, Prepared qtys and labels per customer requirements, daily correspondence with marketing to meet due dates and other departments for on time shipments, High volumes or orders daily, 100% accuracy, quality codes on all shipments, prepared for future shipment requirements, controlled all stock and finished goods, cycle count, s...

Tags for this Online Resume: Calipers, Configure, Corrective Actions, Data Sheets, Etching, ISO, Marketing Management, Microsoft Excel, Microsoft Word, MRP

Quality Engineer

Ideal Companies: HP Inc., Amazon, ATI

Tags for this Online Resume: Capital Equipment, Research and Development, Technical Lead, Manufacturing, DSC, High Performance Liquid Chromatography (HPLC), Packaging, Failure Analysis, MEMS, Metrics

Quality Manager

Tags for this Online Resume: Capital Equipment, Research and Development, Technical Lead, Manufacturing, DSC, High Performance Liquid Chromatography (HPLC), Packaging, Failure Analysis, MEMS, Metrics